Electrical engineering
728x90
#디지털공학 #공대 #포토공정 #2진수 #전자공학 #카노맵 #PVD #노광 #MOSFET #증착 #보수 #반도체 #Transconductance #건식식각 #Dry etch #Photo 공정 #deposition #증착공정 #부울함수 #표준형식 #정준형식 #ORGATE #ANDGATE #기수변환 #산화공정 #부울대수 #식각 #Sputtering #전가산기 #반가산기 #2진법 #전달함수 #cvd #전처리 #스퍼터링 #exposure #PR #velocity saturation #Body Effect #Charge Density #Channel Length Modulation #Channel Charge Density #반전층 #공핍층 #inversion layer #Depletion area #Channal #RIE #Chemical #Plasma Etch #습식식각 #Wet Etch #Etching #Hard Bake #초점심도 #노광원리 #immersion #액침노광 #투사노광 #접촉노광 #근접노광 #노광법 #hot plate #soft bake #Positive PR #negative PR #PR 종류 #감광제 #반응성 스퍼터 #Reactive Ion Sputtering #RF Sputtering #DC 스퍼터링 #DC Sputtering #E-beam evaporation #thermal evaporation #전자빔증착 #열증착 #LPCVD #APCVD #산화막 증착 #급속열처리 #습식산화 #건식산화 #Oxidation #EDS공정 #웨이퍼 제조 #XOR gate #2진 곱셈기 #2진 가산기 #carry lookahead #캐리 룩어헤드 #조합논리 #논리 gate #NAND gate #don't care condition #부울최소화 #논리시뮬레이션 #논리합성 #don't care 조건 #게이트레벨최소화 #Kmap #4변수 #3변수 #2변수 #쌍대 #보수뺄셈 #보수덧셈 #기수보수 #소수성 #ALD #식각공정 #PEB #반도체 공정 #RF 스퍼터링 #패키징 #PECVD #ACi #KRF #arf #8진법 #DOF #ADI #16진법 #진법변환 #진수변환 #EUV #가산기 #카르노맵 #photolithography #NAND #논리회로 #HMDS #시작하며 #NOR #주항 #망원 #반도체 8대 공정 #develop #진리표 #반도체공정 #현상 #최소화 #열처리 #논리게이트 #간략화 #resolution #친수성 #not #성수대교 #Solvent #공정 #RTO #러닝 #MOS #합정 #해상도 #한강 #렌즈 #양화대교 #검사
728x90